@dblp

Copper filled TSV formation with Parylene-HT insulator for low-temperature compatible 3D integration.

, , , , , and . 3DIC, page 1-4. IEEE, (2014)

Links and resources

Tags