@dblp

Bounded potential slack: enabling time budgeting for dual-Vt allocation of hierarchical design.

, , and . ASP-DAC, page 581-586. IEEE, (2010)

Links and resources

Tags