Inproceedings,

14.5 Envision: A 0.26-to-10TOPS/W subword-parallel dynamic-voltage-accuracy-frequency-scalable Convolutional Neural Network processor in 28nm FDSOI.

, , , and .
ISSCC, page 246-247. IEEE, (2017)

Meta data

Tags

Users

  • @dblp

Comments and Reviews