Article,

System-level power consumption modeling and tradeoff analysis techniques for superscalar processor design.

, , , and .
IEEE Trans. Very Large Scale Integr. Syst., 8 (2): 129-137 (2000)

Meta data

Tags

Users

  • @dblp

Comments and Reviews