Article,

Interoperability of Reconfiguring System on FPGA Using a Design Entry of Hardware Description Language

.
ACEEE International Journal on Information Technology, 2 (1): 5 (March 2012)

Abstract

For a long ago, world of digital design has spread out in the many major and a lot of logics, approaches, and theories has been proposed. The digital emerges as a solution of a daily-life need and applicable on such technology from the developing devices until software-based. All of the designs has a significant point on the spesification, integration, and optimization. The designers have been trying to make a good designs on both hardware and software, latest both combinations have been known as the basic idea of hardware/ software co-design. The state-of-the art computer is very interesting to research because of its implementation can make changes of the cycle of reconfigurable objects. This paper presents a comparison of the two role plays in reconfigurable devices especially FPGA-based, i.e. Altera and Xilinx. The idea is that of a simple compiler has a good performance designs for synthesizing Very high speed integrated circuit Hardware Description Language (VHDL) code as well as the other complexity software that more powerful. So, this paper proposes such method as interoperability for reconfiguring devices to get the point why few of the standard VHDL code can’t be synthesised in the different compiler of VHDL code between Xilinx and Altera. The project of compiler softwares that is observed from Xilinx is ISE and from Altera is Max+Plus II. Max+Plus II is a low-cost software than ISE Xilinx, although both Xilinx and Altera devices have a different structure each other.

Tags

Users

  • @ideseditor

Comments and Reviews