Author of the publication

A 0.54 pJ/b 20 Gb/s Ground-Referenced Single-Ended Short-Reach Serial Link in 28 nm CMOS for Advanced Packaging Applications.

, , , , , , , and . IEEE J. Solid State Circuits, 48 (12): 3206-3218 (2013)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

An On-Chip Relaxation Oscillator in 5-nm FinFET Using a Frequency-Error Feedback Loop., , , , , and . IEEE J. Solid State Circuits, 57 (10): 2898-2908 (2022)A 250-MHz wave pipelined adder in 2-μm CMOS., , , , , and . IEEE J. Solid State Circuits, 29 (9): 1117-1128 (September 1994)A 95.6-TOPS/W Deep Learning Inference Accelerator With Per-Vector Scaled 4-bit Quantization in 5 nm., , , , , , , , and . IEEE J. Solid State Circuits, 58 (4): 1129-1141 (2023)A 1.17-pJ/b, 25-Gb/s/pin Ground-Referenced Single-Ended Serial Link for Off- and On-Package Communication Using a Process- and Temperature-Adaptive Voltage Regulator., , , , , , , , , and 3 other author(s). IEEE J. Solid State Circuits, 54 (1): 43-54 (2019)Towards computationally efficient planning of dynamic multi-contact locomotion., and . IROS, page 3879-3886. IEEE, (2016)Adaptive Compliance Shaping with Human Impedance Estimation., , , , and . CoRR, (2019)Assessing Whole-Body Operational Space Control in a Point-Foot Series Elastic Biped: Balance on Split Terrain and Undirected Walking., , , and . CoRR, (2015)A 0.54pJ/b 20Gb/s ground-referenced single-ended short-haul serial link in 28nm CMOS for advanced packaging applications., , , , , , and . ISSCC, page 404-405. IEEE, (2013)Safety Control Synthesis with Input Limits: a Hybrid Approach., , and . ACC, page 792-797. IEEE, (2018)MIMO identification of frequency-domain unreliability in SEAs., and . ACC, page 4436-4441. IEEE, (2017)