Author of the publication

Scheduling heterogeneous multi-cores through performance impact estimation (PIE).

, , , , and . ISCA, page 213-224. IEEE Computer Society, (2012)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

MLP-Aware Runahead Threads in a Simultaneous Multithreading Processor., , and . HiPEAC, volume 5409 of Lecture Notes in Computer Science, page 110-124. Springer, (2009)Chrysso: an integrated power manager for constrained many-core processors., , , , , , , and . Conf. Computing Frontiers, page 19:1-19:8. ACM, (2015)Automatic SMT threading for OpenMP applications on the Intel Xeon Phi co-processor., , , , , and . ROSS@ICS, page 7:1-7:7. ACM, (2014)Undersubscribed threading on clustered cache architectures., , , , , and . HPCA, page 678-689. IEEE Computer Society, (2014)Node Performance and Energy Analysis with the Sniper Multi-core Simulator., , , and . Parallel Tools Workshop, page 79-89. Springer, (2013)Boosting the Priority of Garbage: Scheduling Collection on Heterogeneous Multicore Processors., , , , and . ACM Trans. Archit. Code Optim., 13 (1): 4:1-4:25 (2016)BarrierPoint: Sampled simulation of multi-threaded applications., , , and . ISPASS, page 2-12. IEEE Computer Society, (2014)Understanding fundamental design choices in single-ISA heterogeneous multicore architectures., and . ACM Trans. Archit. Code Optim., 9 (4): 32:1-32:23 (2013)Fairness-aware scheduling on single-ISA heterogeneous multi-cores., , , , and . PACT, page 177-187. IEEE Computer Society, (2013)The Multi-Program Performance Model: Debunking current practice in multi-core simulation., and . IISWC, page 26-37. IEEE Computer Society, (2011)