Author of the publication

Test Scheduling for Multicore SoCs with Dynamic Voltage Scaling and Multiple Voltage Islands.

, , , and . Asian Test Symposium, page 33-39. IEEE Computer Society, (2011)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Low Power Test for Nanometer System-on-Chips (SoCs)., , and . J. Low Power Electron., 4 (1): 81-100 (2008)Evaluation of Entropy Driven Compression Bounds on Industrial Designs., , , , and . ATS, page 13-18. IEEE Computer Society, (2008)State Assignment for Optimal Design of Monitored Self-Checking Sequential Circuits., , and . VLSI Design, page 15-20. IEEE Computer Society, (1993)Reduced overhead soft error mitigation using error control coding techniques., , and . IOLTS, page 163-168. IEEE Computer Society, (2011)A framework to evaluate test tradeoffs in embedded core based systems-case study on TI's TMS320C27xx., , , , and . ITC, page 417-425. IEEE Computer Society, (2000)DFT for Test Optimisations in a Complex Mixed-Signal SOC - Case Study on TI's TNETD7300 ADSL Modem Device., and . ITC, page 773-782. IEEE Computer Society, (2004)Methodology for low power test pattern generation using activity threshold control logic., , and . ICCAD, page 526-529. IEEE Computer Society, (2007)Session Abstract.. VTS, page 86-87. IEEE Computer Society, (2006)Test time reduction using parallel RF test techniques., , and . VTS, page 40. IEEE Computer Society, (2010)Derating based hardware optimizations in soft error tolerant designs., , and . VTS, page 282-287. IEEE Computer Society, (2012)