Author of the publication

Monolithic 3D IC designs for low-power deep neural networks targeting speech recognition.

, , , , and . ISLPED, page 1-6. IEEE, (2017)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Retiming-based timing analysis with an application to mincut-based global placement., and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 23 (12): 1684-1692 (2004)Chip/Package Mechanical Stress Impact on 3-D IC Reliability and Mobility Variations., , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 32 (11): 1694-1707 (2013)Multi-TSV and E-Field Sharing Aware Full-chip Extraction and Mitigation of TSV-to-Wire Coupling., , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 34 (12): 1964-1976 (2015)Adaptive Regression-Based Thermal Modeling and Optimization for Monolithic 3-D ICs., , , , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 35 (10): 1707-1720 (2016)Snap-3D: A Constrained Placement-Driven Physical Design Methodology for High Performance 3-D ICs., , , , , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 42 (7): 2331-2335 (July 2023)Edge separability-based circuit clustering with application to multilevel circuit partitioning., and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 23 (3): 346-357 (2004)TSV Stress-Aware Full-Chip Mechanical Reliability Analysis and Optimization for 3-D IC., , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 31 (8): 1194-1207 (2012)Macro-3D: A Physical Design Methodology for Face-to-Face-Stacked Heterogeneous 3D ICs., , , , , and . DATE, page 37-42. IEEE, (2020)MemPool-3D: Boosting Performance and Efficiency of Shared-L1 Memory Many-Core Clusters with 3D Integration., , , , , , , , and . CoRR, (2021)Architecture, Dataflow and Physical Design Implications of 3D-ICs for DNN-Accelerators., , , , , , and . CoRR, (2020)