Author of the publication

Pattern based runtime voltage emergency prediction: An instruction-aware block sparse compressed sensing approach.

, , , , and . ASP-DAC, page 543-548. IEEE, (2017)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Partial Unbalanced Feature Transport for Cross-Modality Cardiac Image Segmentation., , , , , , , and . IEEE Trans. Medical Imaging, 42 (6): 1758-1773 (June 2023)Fast Analysis of a Large-Scale Inductive Interconnect by Block-Structure-Preserved Macromodeling., , , , , and . IEEE Trans. Very Large Scale Integr. Syst., 18 (10): 1399-1411 (2010)A Face Alignment Accelerator Based on Optimized Coarse-to-Fine Shape Searching., , , , , , , and . IEEE Trans. Circuits Syst. Video Technol., 29 (8): 2467-2481 (2019)VisualNet: An End-to-End Human Visual System Inspired Framework to Reduce Inference Latency of Deep Neural Networks., , , , , , , , , and . IEEE Trans. Computers, 71 (11): 2717-2727 (2022)Device-Circuit-Architecture Co-Exploration for Computing-in-Memory Neural Accelerators., , , , , , and . IEEE Trans. Computers, 70 (4): 595-605 (2021)Demand-Side Management of Domestic Electric Water Heaters Using Approximate Dynamic Programming., , , , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 36 (5): 775-788 (2017)Through-Silicon Via Fault-Tolerant Clock Networks for 3-D ICs., , , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 32 (7): 1100-1109 (2013)Accelerating Dynamic Time Warping With Memristor-Based Customized Fabrics., , , , , , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 37 (4): 729-741 (2018)Efficient Decoupling Capacitance Budgeting Considering Operation and Process Variations., , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 27 (7): 1253-1263 (2008)Dynamic Frequency Scaling Aware Opportunistic Through-Silicon-Via Inductor Utilization in Resonant Clocking., , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 39 (2): 281-293 (2020)