Author of the publication

A Built-Off Self-Repair Scheme for Channel-Based 3D Memories.

, , , , , , , and . IEEE Trans. Computers, 66 (8): 1293-1301 (2017)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A 500MHz Random-Access Embedded 1Mb DRAM Macro in Bulk CMOS., , , , , , , , , and 1 other author(s). ISSCC, page 270-271. IEEE, (2008)A HKMG 28nm 1GHz fully-pipelined tile-able 1MB embedded SRAM IP with 1.39mm2 per MB., , , , , , and . CICC, page 1-4. IEEE, (2013)A 1 Tbit/s Bandwidth 1024 b PLL/DLL-Less eDRAM PHY Using 0.3 V 0.105 mW/Gbps Low-Swing IO for CoWoS Application., , , , , , , , , and 5 other author(s). IEEE J. Solid State Circuits, 49 (4): 1063-1074 (2014)On Improving Interconnect Defect Diagnosis Resolution and Yield for Interposer-Based 3-D ICs., , , , , and . IEEE Des. Test, 31 (4): 16-26 (2014)A memory yield improvement scheme combining built-in self-repair and error correction codes., , , , , , , , , and . ITC, page 1-9. IEEE Computer Society, (2012)High Quality Test Methodology for Highly Reliable Devices., , , and . ITC, page 1-6. IEEE, (2019)Site-aware Anomaly Detection with Machine Learning for Circuit Probing to Prevent Overkill., , , , and . ITC-Asia, page 1-6. IEEE, (2020)3D-IC interconnect test, diagnosis, and repair., , , and . VTS, page 1-6. IEEE Computer Society, (2013)Custom 6-R, 2- or 4-W multi-port register files in an ASIC SOC with a DVFS window of 0.5 V, 130 MHz to 0.96 V, 3.2 GHz in a 28-nm HKMG CMOS technology., , , , , , , , and . CICC, page 1-3. IEEE, (2015)Testing-for-manufacturing (TFM) for ultra-thin IPD on InFO., , , , , and . ITC-Asia, page 90-95. IEEE, (2017)