Sunghyun Park

Towards low-power yet high-performance networks-on-chip.
. Massachusetts Institute of Technology, Cambridge, MA, USA, (2014)ndltd.org (oai:dspace.mit.edu:1721.1/93776).
  •  Doctoral advisor:
  •  First reviewer:
  •  Reviewer:
  •  Advisor:
  •  Author: Sunghyun Park
  •  Editor:
  •  Other:
more

No resources found