Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A portable multi-pitch e-drum based on printed flexible pressure sensors., , , , and . DATE, page 1082-1087. IEEE Computer Society, (2010)Insole pedometer with piezoelectric energy harvester and 2V organic digital and analog circuits., , , , , , , , , and 4 other author(s). ISSCC, page 308-310. IEEE, (2012)Silicon Mach-Zehnder Interferometer modulator with PAM-4 data modulation at 64 Gb/s., , , , , , , , and . MWSCAS, page 1-3. IEEE, (2015)DWDM nanophotonic interconnects: toward terabit/s chip-scale serial link., , , , , , , and . MWSCAS, page 1-4. IEEE, (2015)Robust Design of Large Area Flexible Electronics via Compressed Sensing., , , , and . DAC, page 1-6. IEEE, (2020)Process-variation tolerant flexible circuit for wearable electronics., , and . ISCAS, page 353-356. IEEE, (2016)Robust design and design automation for flexible hybrid electronics., , , , , and . ISCAS, page 1-4. IEEE, (2017)Printed circuits on flexible substrates: opportunities and challenges (invited paper)., , and . NOCS, page 1-4. IEEE, (2016)Process Design Kit and Design Automation for Flexible Hybrid Electronics., , , , , , , , and . VLSI-DAT, page 1-2. IEEE, (2019)A 100-V AC Energy Meter Integrating 20-V Organic CMOS Digital and Analog Circuits With a Floating Gate for Process Variation Compensation and a 100-V Organic pMOS Rectifier., , , , , , , , and . IEEE J. Solid State Circuits, 47 (1): 301-309 (2012)