Author of the publication

IAA: Incidental Approximate Architectures for Extremely Energy-Constrained Energy Harvesting Scenarios using IoT Nonvolatile Processors.

, , , , , , , and . IEEE Micro, 38 (4): 11-19 (2018)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

IAA: Incidental Approximate Architectures for Extremely Energy-Constrained Energy Harvesting Scenarios using IoT Nonvolatile Processors., , , , , , , and . IEEE Micro, 38 (4): 11-19 (2018)Scalable Graph Neural Networks for Heterogeneous Graphs., , , and . CoRR, (2020)Deadline-aware task scheduling for solar-powered nonvolatile sensor nodes with global energy migration., , , , , , and . DAC, page 126:1-126:6. ACM, (2015)Towards an Efficient Cyber-Physical System for First-Mile Taxi Transit in Urban Complex., , , , , , , and . HASE, page 9-16. IEEE, (2019)Downscaling of GRACE-Derived Groundwater Storage Based on the Random Forest Model., , , , and . Remote Sensing, 11 (24): 2979 (2019)SwapAdvisor: Pushing Deep Learning Beyond the GPU Memory Limit via Smart Swapping., , and . ASPLOS, page 1341-1355. ACM, (2020)ASPLOS 2020 was canceled because of COVID-19..On the Parallels between Paxos and Raft, and how to Port Optimizations., , , , and . PODC, page 445-454. ACM, (2019)Reliable and Efficient Parallel Checkpointing Framework for Nonvolatile Processor With Concurrent Peripherals., , , , , , , and . IEEE Trans. Circuits Syst. I Regul. Pap., 70 (1): 228-240 (January 2023)DevBench: A Comprehensive Benchmark for Software Development., , , , , , , , , and 6 other author(s). CoRR, (2024)An AIoT-enabled Autonomous Dementia Monitoring System., and . CoRR, (2022)