Inproceedings,

DRC Violation Prediction with Pre-global-routing Features Through Convolutional Neural Network.

, , , , , , and .
ACM Great Lakes Symposium on VLSI, page 313-319. ACM, (2023)

Meta data

Tags

Users

  • @dblp

Comments and Reviews