,

System-Level Exploration of In-Package Wireless Communication for Multi-Chiplet Platforms.

, , , , , , и .
ASP-DAC, стр. 561-566. ACM, (2023)

Метаданные

тэги

Пользователи данного ресурса

  • @dblp

Комментарии и рецензии