Autor der Publikation

AXI-REALM: A Lightweight and Modular Interconnect Extension for Traffic Regulation and Monitoring of Heterogeneous Real-Time SoCs.

, , , , , , und . CoRR, (2023)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

AXI-REALM: A Lightweight and Modular Interconnect Extension for Traffic Regulation and Monitoring of Heterogeneous Real-Time SoCs., , , , , , und . CoRR, (2023)A High-Performance, Energy-Efficient Modular DMA Engine Architecture., , , , , , , und . IEEE Trans. Computers, 73 (1): 263-277 (Januar 2024)A Data-Driven Approach to Lightweight DVFS-Aware Counter-Based Power Modeling for Heterogeneous Platforms., , , und . CoRR, (2023)OSMOSIS: Enabling Multi-Tenancy in Datacenter SmartNICs., , , , , , , , , und . CoRR, (2023)AXI-Pack: Near-Memory Bus Packing for Bandwidth-Efficient Irregular Workloads., , , , und . DATE, Seite 1-6. IEEE, (2023)Cheshire: A Lightweight, Linux-Capable RISC-V Host Platform for Domain-Specific Accelerator Plug-In., , , und . CoRR, (2023)A High-performance, Energy-efficient Modular DMA Engine Architecture., , , , , , , und . CoRR, (2023)A Data-Driven Approach to Lightweight DVFS-Aware Counter-Based Power Modeling for Heterogeneous Platforms., , , und . SAMOS, Volume 13511 von Lecture Notes in Computer Science, Seite 346-361. Springer, (2022)Insights from Basilisk: Are Open-Source EDA Tools Ready for a Multi-Million-Gate, Linux-Booting RV64 SoC Design?, , , , und . CoRR, (2024)An Open-Source Platform for High-Performance Non-Coherent On-Chip Communication., , , , , , und . IEEE Trans. Computers, 71 (8): 1794-1809 (2022)