Autor der Publikation

Analysis of SRAM and eDRAM Cache Memories Under Spatial Temperature Variations.

, , und . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 29 (1): 2-13 (2010)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Integrated Design & Test: Conquering the Conflicting Requirements of Low-Power, Variation-Tolerance and Test Cost., , , , und . Asian Test Symposium, Seite 486-491. IEEE Computer Society, (2011)Thermal analysis of 8-T SRAM for nano-scaled technologies., , und . ISLPED, Seite 123-128. ACM, (2008)Analysis of SRAM and eDRAM Cache Memories Under Spatial Temperature Variations., , und . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 29 (1): 2-13 (2010)A 3.6Mb 10.1Mb/mm2 Embedded Non-Volatile ReRAM Macro in 22nm FinFET Technology with Adaptive Forming/Set/Reset Schemes Yielding Down to 0.5V with Sensing Time of 5ns at 0.7V., , , , , , , , , und 7 andere Autor(en). ISSCC, Seite 212-214. IEEE, (2019)2nd generation embedded DRAM with 4X lower self refresh power in 22nm Tri-Gate CMOS technology., , , , , , , , , und 2 andere Autor(en). VLSIC, Seite 1-2. IEEE, (2014)A leakage control system for thermal stability during burn-in test., , und . ITC, Seite 10. IEEE Computer Society, (2005)A high sensitivity process variation sensor utilizing sub-threshold operation., , , , und . CICC, Seite 125-128. IEEE, (2008)13.1 A 1Gb 2GHz embedded DRAM in 22nm tri-gate CMOS technology., , , , , , , , , und 2 andere Autor(en). ISSCC, Seite 230-231. IEEE, (2014)Accurate characterization of random process variations using a robust low-voltage high-sensitivity sensor featuring replica-bias circuit., , , und . ISSCC, Seite 186-187. IEEE, (2010)Leakage power dependent temperature estimation to predict thermal runaway in FinFET circuits., , , , und . ICCAD, Seite 583-586. ACM, (2006)