Article,

ITAP: Idle-Time-Aware Power Management for GPU Execution Units.

, , , , , , , , , and .
ACM Trans. Archit. Code Optim., 16 (1): 3:1-3:26 (2019)

Meta data

Tags

Users

  • @dblp

Comments and Reviews