Article,

Crosstalk Noise in WDM-Based Optical Networks-on-Chip: A Formal Study and Comparison.

, , , , , , , , , and .
IEEE Trans. Very Large Scale Integr. Syst., 23 (11): 2552-2565 (2015)

Meta data

Tags

Users

  • @dblp

Comments and Reviews