Artikel in einem Konferenzbericht,

Analog-DFE-based 16Gb/s SerDes in 40nm CMOS that operates across 34dB loss channels at Nyquist with a baud rate CDR and 1.2Vpp voltage-mode driver.

, , , , , , , , , , , , , , , , , , , und .
ISSCC, Seite 350-351. IEEE, (2011)

Metadaten

Tags

Nutzer

  • @dblp

Kommentare und Rezensionen