Inproceedings,

CACTI-FinFET: an integrated delay and power modeling framework for FinFET-based caches under process variations.

, and .
DAC, page 866-871. ACM, (2011)

Meta data

Tags

Users

  • @dblp

Comments and Reviews