Inproceedings,

Fault-Tolerant Execution on COTS Multi-core Processors with Hardware Transactional Memory Support.

, , , , and .
ARCS, volume 10172 of Lecture Notes in Computer Science, page 16-30. Springer, (2017)

Meta data

Tags

Users

  • @dblp

Comments and Reviews