Author of the publication

SRAM Write- and Performance-Assist Cells for Reducing Interconnect Resistance Effects Increased with Technology Scaling.

, , , , , , , , , , and . VLSI Circuits, page 1-2. IEEE, (2021)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Switching pMOS Sense Amplifier for High-Density Low-Voltage Single-Ended SRAM., , , , , , and . IEEE Trans. Circuits Syst. I Regul. Pap., 62-I (6): 1555-1563 (2015)Offset-Compensated Cross-Coupled PFET Bit-Line Conditioning and Selective Negative Bit-Line Write Assist for High-Density Low-Power SRAM., , , , , , and . IEEE Trans. Circuits Syst. I Regul. Pap., 62-I (4): 1062-1070 (2015)A Cross-layer Cognitive Radio Testbed for the Evaluation of Spectrum Sensing Receiver and Interference Analysis., , , , , , and . CrownCom, page 1-6. IEEE, (2008)Design Rule Evaluation Framework Using Automatic Cell Layout Generator for Design Technology Co-Optimization., , , , , and . IEEE Trans. Very Large Scale Integr. Syst., 27 (8): 1933-1946 (2019)An Embedded Level-Shifting Dual-Rail SRAM for High-Speed and Low-Power Cache., , , , , and . IEEE Access, (2020)Trip-Point Bit-Line Precharge Sensing Scheme for Single-Ended SRAM., , , , and . IEEE Trans. Very Large Scale Integr. Syst., 23 (7): 1370-1374 (2015)A Fully Integrated UHF-Band CMOS Receiver With Multi-Resolution Spectrum Sensing (MRSS) Functionality for IEEE 802.22 Cognitive Radio Applications., , , , , , , , , and . IEEE J. Solid State Circuits, 44 (1): 258-268 (2009)Bitline Charge-Recycling SRAM Write Assist Circuitry for $V_MIN$ Improvement and Energy Saving., , , , , , , and . IEEE J. Solid State Circuits, 54 (3): 896-906 (2019)SRAM Write- and Performance-Assist Cells for Reducing Interconnect Resistance Effects Increased with Technology Scaling., , , , , , , , , and 1 other author(s). VLSI Circuits, page 1-2. IEEE, (2021)A 0.65V embedded SDRAM with smart boosting and power management in a 45nm CMOS technology., , , , , , , , and . CICC, page 1-4. IEEE, (2012)