From post

Optimal Clipping and Magnitude-aware Differentiation for Improved Quantization-aware Training.

, , , , , и . ICML, том 162 из Proceedings of Machine Learning Research, стр. 19123-19138. PMLR, (2022)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed.

 

Другие публикации лиц с тем же именем

High-level Synthesis for Low-power Design., , , и . IPSJ Trans. Syst. LSI Des. Methodol., (2015)A 17-95.6 TOPS/W Deep Learning Inference Accelerator with Per-Vector Scaled 4-bit Quantization for Transformers in 5nm., , , , , , , и . VLSI Technology and Circuits, стр. 16-17. IEEE, (2022)A 1.4 GHz 695 Giga Risc-V Inst/s 496-Core Manycore Processor With Mesh On-Chip Network and an All-Digital Synthesized PLL in 16nm CMOS., , , , , , , , , и 11 other автор(ы). VLSI Circuits, стр. 30-. IEEE, (2019)VS-Quant: Per-vector Scaled Quantization for Accurate Low-Precision Neural Network Inference., , , , , и . MLSys, mlsys.org, (2021)Area-efficient pipelining for FPGA-targeted high-level synthesis., , , и . DAC, стр. 157:1-157:6. ACM, (2015)A Scalable Approach to Exact Resource-Constrained Scheduling Based on a Joint SDC and SAT Formulation., , и . FPGA, стр. 137-146. ACM, (2018)Architecture and Synthesis for Area-Efficient Pipelining of Irregular Loop Nests., , , , и . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 36 (11): 1817-1830 (2017)Accelerating Chip Design With Machine Learning., , , , , , , , , и 1 other автор(ы). IEEE Micro, 40 (6): 23-32 (2020)Enabling adaptive loop pipelining in high-level synthesis., , , и . ACSSC, стр. 131-135. IEEE, (2017)Softermax: Hardware/Software Co-Design of an Efficient Softmax for Transformers., , , , и . DAC, стр. 469-474. IEEE, (2021)