Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Energy-Aware Runtime Scheduling for Embedded-Multiprocessor SOCs., , , , , , and . IEEE Des. Test Comput., 18 (5): 46-58 (2001)Task concurrency management methodology to schedule the MPEG4 IM1 player on a highly parallel processor platform., , and . CODES, page 170-177. ACM, (2001)Automated Pathfinding tool chain for 3D-stacked integrated circuits: Practical case study., , , , , , , , and . 3DIC, page 1-6. IEEE, (2009)HOT TOPIC - 3D Integration or How to Scale in the 21st Century., , , , and . DATE, page 1516. ACM, (2008)SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms., , , , , , and . DATE, page 10516-10523. IEEE Computer Society, (2003)Task Concurrency Management Experiment for Power-Efficient Speed-up of Embedded MPEG4 IM1 Player., , , , , , , , and . ICPP Workshops, page 453-460. IEEE Computer Society, (2000)How to Live with Uncertainties: Exploiting the Performance Benefits of Self-Timed Logic In Synchronous Design., , , , and . DSD, page 550-557. IEEE Computer Society, (2008)Managing Dynamic Concurrent Tasks in Embedded Real-Time Multimedia Systems., , , , , , , and . ISSS, page 112-119. ACM / IEEE Computer Society, (2002)DRAM-on-logic Stack - Calibrated thermal and mechanical models integrated into PathFinding flow., , , , and . CICC, page 1-4. IEEE, (2011)System-level power/performance evaluation of 3D stacked DRAMs for mobile applications., , , , , , , and . DATE, page 923-928. IEEE, (2009)