Author of the publication

DWM-TAPESTRI - an energy efficient all-spin cache using domain wall shift based writes.

, , , and . DATE, page 1825-1830. EDA Consortium San Jose, CA, USA / ACM DL, (2013)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Reading spin-torque memory with spin-torque sensors., , , , and . NANOARCH, page 40-41. IEEE Computer Society, (2013)Enabling and Accelerating Dynamic Vision Transformer Inference for Real-Time Applications., , , , and . CoRR, (2022)A 17-95.6 TOPS/W Deep Learning Inference Accelerator with Per-Vector Scaled 4-bit Quantization for Transformers in 5nm., , , , , , , and . VLSI Technology and Circuits, page 16-17. IEEE, (2022)VS-Quant: Per-vector Scaled Quantization for Accurate Low-Precision Neural Network Inference., , , , , and . MLSys, mlsys.org, (2021)STAxCache: An approximate, energy efficient STT-MRAM cache., , , , , and . DATE, page 356-361. IEEE, (2017)A 0.11 PJ/OP, 0.32-128 Tops, Scalable Multi-Chip-Module-Based Deep Neural Network Accelerator Designed with A High-Productivity vlsi Methodology., , , , , , , , , and 7 other author(s). Hot Chips Symposium, page 1-24. IEEE, (2019)A 95.6-TOPS/W Deep Learning Inference Accelerator With Per-Vector Scaled 4-bit Quantization in 5 nm., , , , , , , , and . IEEE J. Solid State Circuits, 58 (4): 1129-1141 (2023)Spin-Transfer Torque Memories: Devices, Circuits, and Systems., , , , , and . Proc. IEEE, 104 (7): 1449-1488 (2016)Cache Design with Domain Wall Memory., , , , , , and . IEEE Trans. Computers, 65 (4): 1010-1024 (2016)Asymmetric Underlapped FinFETs for Near- and Super-Threshold Logic at Sub-10nm Technology Nodes., , , and . ACM J. Emerg. Technol. Comput. Syst., 13 (2): 23:1-23:22 (2016)