Author of the publication

Data Oblivious ISA Extensions for Side Channel-Resistant and High Performance Computing.

, , , and . NDSS, The Internet Society, (2019)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Speculative Privacy Tracking (SPT): Leaking Information From Speculative Execution Without Compromising Privacy., , , and . MICRO, page 607-622. ACM, (2021)Synchronization Storage Channels (S2C): Timer-less Cache Side-Channel Attacks on the Apple M1 via Hardware Synchronization Instructions., , , , and . USENIX Security Symposium, page 1973-1990. USENIX Association, (2023)Binoculars: Contention-Based Side-Channel Attacks Exploiting the Page Walker., , , and . USENIX Security Symposium, page 699-716. USENIX Association, (2022)Hertzbleed: Turning Power Side-Channel Attacks Into Remote Timing Attacks on x86., , , , , and . USENIX Security Symposium, page 679-697. USENIX Association, (2022)gem5-Approxilyzer: An Open-Source Tool for Application-Level Soft Error Analysis., , , , , , and . DSN, page 214-221. IEEE, (2019)SumMerge: an efficient algorithm and implementation for weight repetition-aware DNN inference., , , , and . ICS, page 279-290. ACM, (2021)Secure-by-Construction Design Methodology for CPUs: Implementing Secure Speculation on the RTL., , , , , , , , and . ICCAD, page 1-9. IEEE, (2023)Pagoda: Towards Binary Code Privacy Protection with SGX-based Execute-Only Memory., , , , and . SEED, page 133-144. IEEE, (2022)Oblivious RAM: from theory to practice.. Massachusetts Institute of Technology, Cambridge, USA, (2016)ndltd.org (oai:dspace.mit.edu:1721.1/105668).Integrity verification for path Oblivious-RAM., , , , and . HPEC, page 1-6. IEEE, (2013)