Author of the publication

Design of Ultra-Low Power Scalable-Throughput Many-Core DSP Applications.

, , , and . ACM Trans. Design Autom. Electr. Syst., 20 (3): 34:1-34:21 (2015)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

From Statistics to Circuits: Foundations for Future Physical Unclonable Functions., , , , , and . Towards Hardware-Intrinsic Security, Springer, (2010)Sense Amplifier Pass Transistor Logic for energy efficient and DPA-resistant AES circuit., and . ISQED, page 517-522. IEEE, (2014)Design of Ultra-Low Power Scalable-Throughput Many-Core DSP Applications., , , and . ACM Trans. Design Autom. Electr. Syst., 20 (3): 34:1-34:21 (2015)A second-generation sensor network processor with application-driven memory optimizations and out-of-order execution., , , , , and . CASES, page 249-256. ACM, (2005)Design techniques for functional-unit power gating in the Ultra-Low-Voltage region., and . ASP-DAC, page 609-614. IEEE, (2012)Impact of System-on-Chip Integration of AEAD Ciphers., and . IACR Cryptology ePrint Archive, (2020)Study of IC aging on ring oscillator physical unclonable functions., and . ISQED, page 461-466. IEEE, (2014)Design of low-power, scalable-throughput systems at near/sub threshold voltage., , and . ISQED, page 609-616. IEEE, (2012)From Transistors to NEMS: Highly Efficient Power-Gating of CMOS Circuits., and . JETC, 8 (1): 2:1-2:18 (2012)A case for NEMS-based functional-unit power gating of low-power embedded microprocessors., , and . DAC, page 872-877. ACM, (2011)