Author of the publication

Test and debug strategy for TSMC CoWoS™ stacking process based heterogeneous 3D IC: A silicon case study.

, , , , , , , , , , , , , , , and . ITC, page 1-10. IEEE Computer Society, (2013)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A 7nm 4GHz Arm®-core-based CoWoS® Chiplet Design for High Performance Computing., , , , , , , , , and 7 other author(s). VLSI Circuits, page 28-. IEEE, (2019)A New Flexible Algorithm for Random Yield Improvement., , , , , , , and . ISQED, page 795-800. IEEE Computer Society, (2007)Using constructors and subclasses in java and C++., , and . CATA, page 180-183. ISCA, (1999)3DIC from concept to reality., , , and . ASP-DAC, page 394-398. IEEE, (2013)Topological symbolic simplification for analog design., , , and . ISCAS, page 2644-2647. IEEE, (2015)Efficient observation-point insertion for diagnosability enhancement in digital circuits., , , and . ITC, page 1-10. IEEE, (2015)An accurate and scalable MOSFET aging model for circuit simulation., , , , , and . ISQED, page 448-451. IEEE, (2011)Symbolic time-varying root-locus analysis for oscillator design., , , and . NEWCAS, page 165-168. IEEE, (2012)A size sensitivity method for interactive MOS circuit sizing., , , and . NEWCAS, page 169-172. IEEE, (2012)Design-for-diagnosis: Your safety net in catching design errors in known good dies in CoWoSTM/3D ICs., , , , and . VLSI-DAT, page 1-4. IEEE, (2014)