Author of the publication

vDNN: Virtualized deep neural networks for scalable, memory-efficient neural network design.

, , , , and . MICRO, page 18:1-18:13. IEEE Computer Society, (2016)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Computer Architectures for Mobile Computer Vision Systems.. University of Michigan, USA, (2013)GA3C: GPU-based A3C for Deep Reinforcement Learning., , , , and . CoRR, (2016)MVSS: Michigan Visual Sonification System., , , , and . ESPA, page 143-146. IEEE, (2012)Simba: Scaling Deep-Learning Inference with Multi-Chip-Module-Based Architecture., , , , , , , , , and 7 other author(s). MICRO, page 14-27. ACM, (2019)vDNN: Virtualized deep neural networks for scalable, memory-efficient neural network design., , , , and . MICRO, page 18:1-18:13. IEEE Computer Society, (2016)Augmenting Legacy Networks for Flexible Inference., , , , and . IV, page 1-8. IEEE, (2023)Symphony: Orchestrating Sparse and Dense Tensors with Hierarchical Heterogeneous Processing., , , , , , , , , and 2 other author(s). ACM Trans. Comput. Syst., (2023)EVA: An efficient vision architecture for mobile systems., , , and . CASES, page 13:1-13:10. IEEE, (2013)MEVBench: A mobile computer vision benchmarking suite., , , and . IISWC, page 91-102. IEEE Computer Society, (2011)A 0.11 PJ/OP, 0.32-128 Tops, Scalable Multi-Chip-Module-Based Deep Neural Network Accelerator Designed with A High-Productivity vlsi Methodology., , , , , , , , , and 7 other author(s). Hot Chips Symposium, page 1-24. IEEE, (2019)