Author of the publication

Robust optimization of SoC architectures: A multi-scenario approach.

, , and . ESTIMedia, page 7-12. IEEE Computer Society, (2008)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A meta-model assisted coprocessor synthesis framework for compiler/architecture parameters customization., , , and . DATE, page 659-664. EDA Consortium San Jose, CA, USA / ACM DL, (2013)Variability-aware robust design space exploration of chip multiprocessor architectures., , and . ASP-DAC, page 323-328. IEEE, (2009)Yield enhancement by robust application-specific mapping on Network-on-Chips., , , and . NoCArc@MICRO, page 37-42. ACM, (2009)Improving simulation speed and accuracy for many-core embedded platforms with ensemble models., , , , , , and . DATE, page 671-676. EDA Consortium San Jose, CA, USA / ACM DL, (2013)Low-power branch prediction techniques for VLIW architectures: a compiler-hints based approach., , , , , and . Integr., 38 (3): 515-524 (2005)AES Power Attack Based on Induced Cache Miss and Countermeasure., , , , and . ITCC (1), page 586-591. IEEE Computer Society, (2005)Evaluating Run-time Resource Management Policies for Multi-core Embedded Platforms with the EMME Evaluation Framework., , , and . ARCS Workshops, volume P-200 of LNI, page 363-374. GI, (2012)A design space exploration methodology supporting run-time resource management for multi-processor Systems-on-chip., , , and . SASP, page 21-28. IEEE Computer Society, (2009)An Instruction-Level Methodology for Power Estimation and Optimization of Embedded VLIW Cores., , , , , and . DATE, page 1128. IEEE Computer Society, (2002)Power Exploration for Embedded VLIW Architectures., , , and . ICCAD, page 498-503. IEEE Computer Society, (2000)