Inproceedings,

Power Reduction of Superscalar Processor Functional Units by Resizing Adder-Width.

, , , , and .
PATMOS, volume 3728 of Lecture Notes in Computer Science, page 40-48. Springer, (2005)

Meta data

Tags

Users

  • @dblp

Comments and Reviews