@roelmeeuws

Quantitative Hardware Prediction Modeling for Hardware/Software Co-design

. Delft University of Technology, Delft, The Netherlands, phdthesis, (July 2012)

Abstract

Hardware estimation is an important factor in Hardware/Software Co-design. In this dissertation, we present the Quipu Modeling Approach, a high-level quantitative prediction model for HW/SW Partitioning using statistical methods. Our approach uses linear regression between software complexity metrics and hardware characteristics. The resulting prediction models provide essential information for such Co-design tasks, as identifying resource intensive parts of the application, helping to evaluate different mapping options, and guiding code modifications. We show that prediction models can be generated for different High Level Synthesis tools, reconfigurable devices, hardware measures, and application domains. To this purpose, we present a detailed investigation of several Quipu prediction models targeting each of these different dimensions. In addition, an extensive description is given of the targeting of the Quipu Modeling Approach to a new tool and platform within a few days. We evaluate the quality of our models by carefully investigating the error behavior, which ranges from 2.4%, for a domain-specific model targeting slices, to 39.7%, for a domain-agnostic model targeting the number of controller states. As a demonstration of the practical use of Quipu Prediction models, we present a case study of two applications. These applications were analyzed and partitioned for the Molen Machine Organization. We show how Quipu prediction models play an important role in evaluating area constraints and performing Design Space Exploration. The two applications had an increased performance of 192% and 30%.

Links and resources

Tags

community