Author of the publication

NUMA-aware scheduling and memory allocation for data-flow task-parallel applications.

, , , , and . PPOPP, page 44:1-44:2. ACM, (2016)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Morpheo: A high-performance processor generator for a FPGA implementation., , , and . DASIP, page 27-34. IEEE, (2011)Low-cost fault tolerance on the ALU in simple pipelined processors., , , and . DDECS, page 28-31. IEEE Computer Society, (2010)MIDEE: smoothing branch and instruction cache miss penalties on deep pipelines., and . MICRO, page 193-201. ACM / IEEE Computer Society, (1993)Hardware Implementation Issues of Data Prefetching.. International Conference on Supercomputing, page 245-254. ACM, (1995)Using Runtime Activity to Dynamically Filter Out Inefficient Data Prefetches., , and . Euro-Par (1), volume 6852 of Lecture Notes in Computer Science, page 338-350. Springer, (2011)Architecture optimization for multimedia application exploiting data and thread-level parallelism., , , and . J. Syst. Archit., 51 (1): 15-27 (2005)Scalable Task Parallelism for NUMA: A Uniform Abstraction for Coordinated Scheduling and Memory Management., , , , and . PACT, page 125-137. ACM, (2016)A Practical Approach for Reconciling High and Predictable Performance in Non-Regular Parallel Programs., , , , , and . DATE, page 740-745. ACM, (2008)An out-of-order superscalar processor on FPGA: The ReOrder Buffer design., , , and . DATE, page 1549-1554. IEEE, (2012)Software Assistance for Data Caches., and . HPCA, page 154-163. IEEE Computer Society, (1995)