Author of the publication

HaLock: hardware-assisted lock contention detection in multithreaded applications.

, , , , , and . PACT, page 253-262. ACM, (2012)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Twin-Load: Bridging the Gap between Conventional Direct-Attached and Buffer-on-Board Memory Systems., , , , , and . MEMSYS, page 164-176. ACM, (2016)A Swap-based Cache Set Index Scheme to Leverage both Superpage and Page Coloring Optimizations., , , and . DAC, page 140:1-140:6. ACM, (2014)A software memory partition approach for eliminating bank-level interference in multicore systems., , , , , and . PACT, page 367-376. ACM, (2012)A fine-grained component-level power measurement method., , , and . IGCC, page 1-6. IEEE Computer Society, (2011)Twin-Load: Building a Scalable Memory System over the Non-Scalable Interface., , , , and . CoRR, (2015)MIMS: Towards a Message Interface based Memory System, , , , , , , , , and . CoRR, (2013)MIMS: Towards a Message Interface Based Memory System., , , , , , and . J. Comput. Sci. Technol., 29 (2): 255-272 (2014)A Study of Leveraging Memory Level Parallelism for DRAM System on Multi-core/Many-Core Architecture., , , , and . TrustCom/ISPA/IUCC, page 1206-1215. IEEE Computer Society, (2013)A lightweight hybrid hardware/software approach for object-relative memory profiling., , , , , and . ISPASS, page 46-57. IEEE Computer Society, (2012)HMTT: A hybrid hardware/software tracing system for bridging the DRAM access trace's semantic gap., , , , , , and . ACM Trans. Archit. Code Optim., 11 (1): 7:1-7:25 (2014)