Autor der Publikation

Exploration of Low-Power High-SFDR Current-Steering D/A Converter Design Using Steep-Slope Heterojunction Tunnel FETs.

, , , , , und . IEEE Trans. Very Large Scale Integr. Syst., 24 (6): 2299-2309 (2016)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Modeling steep slope devices: From circuits to architectures., , , , , , und . DATE, Seite 1-6. European Design and Automation Association, (2014)Influence of compiler optimizations on system power., , , und . DAC, Seite 304-307. ACM, (2000)Improving energy efficiency of multi-threaded applications using heterogeneous CMOS-TFET multicores., , , , , und . ISLPED, Seite 247-252. IEEE/ACM, (2011)GRAPHIC: Gather and Process Harmoniously in the Cache With High Parallelism and Flexibility., , , , , , , , , und 2 andere Autor(en). IEEE Trans. Emerg. Top. Comput., 12 (1): 84-96 (Januar 2024)Robust Coreset Construction for Distributed Machine Learning., , , , , und . IEEE J. Sel. Areas Commun., 38 (10): 2400-2417 (2020)Power-efficient implementation of turbo decoder in SDR system., , , und . SoCC, Seite 119-122. IEEE, (2004)ChipPower: an architecture-level leakage simulator., , , , und . SoCC, Seite 395-398. IEEE, (2004)Hardware and Software Techniques for Controlling DRAM Power Modes., , , , und . IEEE Trans. Computers, 50 (11): 1154-1173 (2001)A low latency router supporting adaptivity for on-chip interconnects., , , , und . DAC, Seite 559-564. ACM, (2005)Design and analysis of an NoC architecture from performance, reliability and energy perspective., , , , und . ANCS, Seite 173-182. ACM, (2005)