Author of the publication

Optimal Scheduling and Allocation for IC Design Management and Cost Reduction.

, , , , , , , and . ACM Trans. Design Autom. Electr. Syst., 22 (4): 60:1-60:30 (2017)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

BufFormer: A Generative ML Framework for Scalable Buffering., , , , and . ASP-DAC, page 264-270. ACM, (2023)Machine Learning-Enabled High-Frequency Low-Power Digital Design Implementation At Advanced Process Nodes., and . ISPD, page 83-90. ACM, (2021)Generative self-supervised learning for gate sizing: invited., , , , , and . DAC, page 1331-1334. ACM, (2022)Toward Metrics of Design Automation Research Impact., , , , , and . ICCAD, page 263-270. IEEE, (2015)Methodology for electromigration signoff in the presence of adaptive voltage scaling., , and . SLIP, page 6:1-6:7. IEEE Computer Society, (2014)Optimal Scheduling and Allocation for IC Design Management and Cost Reduction., , , , , , , and . ACM Trans. Design Autom. Electr. Syst., 22 (4): 60:1-60:30 (2017)High-dimensional metamodeling for prediction of clock tree synthesis outcomes., , and . SLIP, page 1-7. IEEE Computer Society, (2013)Learning-based prediction of embedded memory timing failures during initial floorplan design., , , , and . ASP-DAC, page 178-185. IEEE, (2016)A deep learning methodology to proliferate golden signoff timing., , , and . DATE, page 1-6. European Design and Automation Association, (2014)Optimizing VLSI Implementation with Reinforcement Learning - ICCAD Special Session Paper., , , , , , , and . ICCAD, page 1-6. IEEE, (2021)