Author of the publication

MLC STT-RAM design considering probabilistic and asymmetric MTJ switching.

, , and . ISCAS, page 113-116. IEEE, (2013)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Workspace analysis of a novel 6-dof cable-driven parallel robot., , , and . ROBIO, page 2403-2408. IEEE, (2009)A 1.041-Mb/mm2 27.38-TOPS/W Signed-INT8 Dynamic-Logic-Based ADC-less SRAM Compute-in-Memory Macro in 28nm with Reconfigurable Bitwise Operation for AI and Embedded Applications., , , , , , , , , and 2 other author(s). ISSCC, page 188-190. IEEE, (2022)Loadsa: A yield-driven top-down design method for STT-RAM array., , , and . ASP-DAC, page 291-296. IEEE, (2013)Exploration of GPGPU Register File Architecture Using Domain-wall-shift-write based Racetrack Memory., , , , and . DAC, page 196:1-196:6. ACM, (2014)Exploring the opportunity of implementing neuromorphic computing systems with spintronic devices., , , , , and . DATE, page 109-112. IEEE, (2018)ADAMS: asymmetric differential STT-RAM cell structure for reliable and high-performance applications., , , , and . ICCAD, page 9-16. IEEE, (2013)The analysis of resolution for cable-driven haptic device., , , and . ROBIO, page 715-719. IEEE, (2010)Giant Spin-Hall assisted STT-RAM and logic design., , , , , , and . Integr., (2017)Bayesian CART models for insurance claims frequency., , , and . CoRR, (2023)Persistent and Nonpersistent Error Optimization for STT-RAM Cell Design., , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 36 (7): 1181-1192 (2017)