Author of the publication

Symbolic quick error detection using symbolic initial state for pre-silicon verification.

, , , , , , and . DATE, page 55-60. IEEE, (2018)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Symbolic quick error detection using symbolic initial state for pre-silicon verification., , , , , , and . DATE, page 55-60. IEEE, (2018)Effective Pre-Silicon Verification of Processor Cores by Breaking the Bounds of Symbolic Quick Error Detection., , , , , , , , and . CoRR, (2021)A Scalable Formal Verification Methodology for Data-Oblivious Hardware., , , , and . CoRR, (2023)Gap-free Processor Verification by S2QED and Property Generation., , , , , , , and . DATE, page 526-531. IEEE, (2020)Towards a formally verified hardware root-of-trust for data-oblivious computing., , , , and . DAC, page 727-732. ACM, (2022)A Formal Approach for Detecting Vulnerabilities to Transient Execution Attacks in Out-of-Order Processors., , , , , and . DAC, page 1-6. IEEE, (2020)Processor Hardware Security Vulnerabilities and their Detection by Unique Program Execution Checking., , , , and . CoRR, (2018)Fault Attacks on Access Control in Processors: Threat, Formal Analysis and Microarchitectural Mitigation., , , , and . IEEE Access, (2023)Unique Program Execution Checking: A Novel Approach for Formal Security Analysis of Hardware.. Kaiserslautern University of Technology, Germany, (2022)A New Security Threat in MCUs - SoC-wide timing side channels and how to find them., , , , , , and . CoRR, (2023)