Author of the publication

An FPGA-Based Change-Point Detection for 10Gbps Packet Stream.

, , , and . IEICE Trans. Inf. Syst., 102-D (12): 2366-2376 (2019)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Accelerating Online Change-Point Detection Algorithm Using 10 GbE FPGA NIC., , , and . Euro-Par Workshops, volume 11339 of Lecture Notes in Computer Science, page 506-517. Springer, (2018)An FPGA-Based Change-Point Detection for 10Gbps Packet Stream., , , and . IEICE Trans. Inf. Syst., 102-D (12): 2366-2376 (2019)Network Optimizations on Prediction Server with Multiple Predictors., , , , , and . ISPA/IUCC/BDCloud/SocialCom/SustainCom, page 1044-1045. IEEE, (2018)