Author of the publication

A 6nW inductive-coupling wake-up transceiver for reducing standby power of non-contact memory card by 500×.

, , , and . ISSCC, page 214-215. IEEE, (2013)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A 286F2/cell distributed bulk-current sensor and secure flush code eraser against laser fault injection attack., , , , , , , and . ISSCC, page 352-354. IEEE, (2018)A passive supply-resonance suppression filter utilizing inductance-enhanced coupled bonding-wire coils., , , and . VLSI-DAT, page 1-4. IEEE, (2014)Analysis of Mixed PUF-TRNG Circuit Based on SR-Latches in FD-SOI Technology., , , , , , , and . DSD, page 508-515. IEEE Computer Society, (2018)A 1Tb/s 3W inductive-coupling transceiver for inter-chip clock and data link., , , , , , , , and . ISSCC, page 1676-1685. IEEE, (2006)A Random Interrupt Dithering SAR Technique for Secure ADC against Reference-Charge Side-Channel Attack., , , , and . ISCAS, page 1. IEEE, (2020)Edge-Oriented Point Cloud Compression by Moving Object Detection for Realtime Smart Monitoring., , , , , and . CCNC, page 400-405. IEEE, (2024)Cross talk countermeasures in inductive inter-chip wireless superconnect., , , and . CICC, page 99-102. IEEE, (2004)EM attack sensor: concept, circuit, and design-automation methodology., , , , , and . DAC, page 176:1-176:6. ACM, (2015)Modeling of Tamper Resistance to Electromagnetic Side-channel Attacks on Voltage-scaled Circuits., , , and . ASPDAC, page 618-624. IEEE, (2024)A High-Speed Inductive-Coupling Link With Burst Transmission., , , , , and . IEEE J. Solid State Circuits, 44 (3): 947-955 (2009)