Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Energy-efficient Task Adaptation for NLP Edge Inference Leveraging Heterogeneous Memory Architectures., , and . CoRR, (2023)NVMExplorer: A Framework for Cross-Stack Comparisons of Embedded Non-Volatile Memories., , , , , and . HPCA, page 938-956. IEEE, (2022)CHIPKIT: An Agile, Reusable Open-Source Framework for Rapid Test Chip Development., , , , , and . IEEE Micro, 40 (4): 32-40 (2020)A Scalable Bayesian Inference Accelerator for Unsupervised Learning., , , , , , , and . Hot Chips Symposium, page 1-27. IEEE, (2020)A fast simulator for the analysis of sub-threshold thermal noise transients., , , and . DAC, page 56:1-56:6. ACM, (2016)SMIV: A 16-nm 25-mm² SoC for IoT With Arm Cortex-A53, eFPGA, and Coherent Accelerators., , , , , and . IEEE J. Solid State Circuits, 57 (2): 639-650 (2022)MEMTI: Optimizing On-Chip Nonvolatile Storage for Visual Multitask Inference at the Edge., , , and . IEEE Micro, 39 (6): 73-81 (2019)SM6: A 16nm System-on-Chip for Accurate and Noise-Robust Attention-Based NLP Applications : The 33rd Hot Chips Symposium - August 22-24, 2021., , , , , , , , , and . HCS, page 1-13. IEEE, (2021)A Simulation Framework for Analyzing Transient Effects Due to Thermal Noise in Sub-Threshold Circuits., , , and . ACM Great Lakes Symposium on VLSI, page 45-50. ACM, (2015)A Sub-Threshold Noise Transient Simulator Based on Integrated Random Telegraph and Thermal Noise Modeling., , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 37 (3): 643-656 (2018)