Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Speculative Interference Attacks: Breaking Invisible Speculation Schemes., , , , , , , , , and 6 other author(s). CoRR, (2020)Speculative Taint Tracking (STT): A Comprehensive Protection for Speculatively Accessed Data., , , , , and . IEEE Micro, 40 (3): 81-90 (2020)Speculative interference attacks: breaking invisible speculation schemes., , , , , , , , , and 6 other author(s). ASPLOS, page 1046-1060. ACM, (2021)Exposing cache timing side-channel leaks through out-of-order symbolic execution., , , , , , , and . Proc. ACM Program. Lang., 4 (OOPSLA): 147:1-147:32 (2020)Data Oblivious ISA Extensions for Side Channel-Resistant and High Performance Computing., , , and . NDSS, The Internet Society, (2019)All Your PC Are Belong to Us: Exploiting Non-control-Transfer Instruction BTB Updates for Dynamic PC Extraction., , and . ISCA, page 65:1-65:14. ACM, (2023)Speculative Data-Oblivious Execution: Mobilizing Safe Prediction For Safe and Efficient Speculative Execution., , , , and . ISCA, page 707-720. IEEE, (2020)Speculative Taint Tracking (STT): A Comprehensive Protection for Speculatively Accessed Data., , , , , and . MICRO, page 954-968. ACM, (2019)Speculation Invariance (InvarSpec): Faster Safe Execution Through Program Analysis., , , , , , , and . MICRO, page 1138-1152. IEEE, (2020)Data Oblivious ISA Extensions for Side Channel-Resistant and High Performance Computing., , , and . IACR Cryptology ePrint Archive, (2018)