Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Accurately Handle Don't-Care Conditions in High-Level Designs and Application for Reducing Initialized Registers., , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 29 (4): 646-651 (2010)Fixing Design Errors with Counterexamples and Resynthesis., , and . ASP-DAC, page 944-949. IEEE Computer Society, (2007)InVerS: An Incremental Verification System with Circuit Similarity Metrics and Error Visualization., , , and . ISQED, page 487-494. IEEE Computer Society, (2007)Reducing test point overhead with don't-cares., , , and . MWSCAS, page 534-537. IEEE, (2012)Improving gate-level simulation accuracy when unknowns exist., and . DAC, page 936-940. ACM, (2012)Reap what you sow: spare cells for post-silicon metal fix., , and . ISPD, page 103-110. ACM, (2008)Optimizing blocks in an SoC using symbolic code-statement reachability analysis., , and . ASP-DAC, page 787-792. IEEE, (2010)Customizing IP cores for system-on-chip designs using extensive external don't-cares., , and . DATE, page 582-585. IEEE, (2009)Automatic Partitioner for Behavior Level Distributed Logic Simulation., , , , , and . FORTE, volume 3731 of Lecture Notes in Computer Science, page 525-528. Springer, (2005)Enhancing bug hunting using high-level symbolic simulation., , , , and . ACM Great Lakes Symposium on VLSI, page 417-420. ACM, (2009)