Author of the publication

GEMS: Graph Database Engine for Multithreaded Systems.

, , , , , , , and . Big Data - Algorithms, Analytics, and Applications, Chapman and Hall/CRC, (2015)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Scaling Semantic Graph Databases in Size and Performance., , , , , , , and . IEEE Micro, 34 (4): 16-26 (2014)An automated flow for the High Level Synthesis of coarse grained parallel applications., and . FPT, page 294-301. IEEE, (2013)Bridging Python to Silicon: The SODA Toolchain., , , , , , , , , and 2 other author(s). IEEE Micro, 42 (5): 78-88 (2022)Invited: Software Defined Accelerators From Learning Tools Environment., , , , , , and . DAC, page 1-6. IEEE, (2020)OpenCGRA: Democratizing Coarse-Grained Reconfigurable Arrays., , , , , , , , , and . ASAP, page 149-155. IEEE, (2021)Automated Generation of Integrated Digital and Spiking Neuromorphic Machine Learning Accelerators., , , , , , , , , and 3 other author(s). ICCAD, page 1-7. IEEE, (2021)Practical Distributed Programming in C++., , and . HPDC, page 35-39. ACM, (2020)Productive Programming of Distributed Systems with the SHAD C++ Library., and . HPDC, page 263-264. ACM, (2021)GraQL: A Query Language for High-Performance Attributed Graph Databases., , , , and . IPDPS Workshops, page 1453-1462. IEEE Computer Society, (2016)High-level synthesis of memory bound and irregular parallel applications with Bambu., , and . Hot Chips Symposium, page 1. IEEE, (2014)