Author of the publication

A Fast-Lock-In ADPLL with High-Resolution and Low-Power DCO for SoC Applications.

, , and . APCCAS, page 105-108. IEEE, (2006)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

An all digital spread spectrum clock generator with programmable spread ratio for SoC applications., , and . APCCAS, page 850-853. IEEE, (2008)An Ultra-Low-Power and Portable Digitally Controlled Oscillator for SoC Applications., , and . IEEE Trans. Circuits Syst. II Express Briefs, 54-II (11): 954-958 (2007)A High Resolution On-Chip Delay Sensor with Low Supply-Voltage Sensitivity for High-Performance Electronic Systems., , , and . Sensors, 15 (2): 4408-4424 (2015)A counter-based all-digital spread-spectrum clock generator with high EMI reduction in 65nm CMOS., , and . IEICE Electron. Express, 10 (6): 20130090 (2013)Design of a human body channel communication transceiver using convolutional codes., , and . Microelectron. J., (2020)Digitally Controlled Oscillator with High Timing Resolution and Low Complexity for Clock Generation., , , and . Sensors, 21 (4): 1377 (2021)A 600kHz to 1.2GHz all-digital delay-locked loop in 65nm CMOS technology., , and . IEICE Electron. Express, 8 (7): 518-524 (2011)DLL-Based Transmit Beamforming IC for High -Frequency Ultrasound Medical Imaging System., , , , , and . ICCE-TW, page 1-2. IEEE, (2021)High-Resolution All-Digital Duty-Cycle Corrector in 65-nm CMOS Technology., , and . IEEE Trans. Very Large Scale Integr. Syst., 22 (5): 1096-1105 (2014)A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications., , and . IEEE Trans. Very Large Scale Integr. Syst., 19 (6): 1113-1117 (2011)