Author of the publication

Energy-minimum sub-threshold self-timed circuits using current-sensing completion detection.

, , and . IET Comput. Digit. Tech., 5 (4): 342-353 (2011)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A Chip Integrity Monitor for Evaluating Long-term Encapsulation Performance Within Active Flexible Implants., , , and . BioCAS, page 1-4. IEEE, (2019)Energy Efficiency Comparison of Asynchronous and Synchronous Circuits Operating in the Sub-Threshold Regime., and . J. Low Power Electron., 4 (3): 320-336 (2008)11nW Signal Acquisition Platform for Remote Biosensing., , and . BioCAS, page 1-4. IEEE, (2019)A 1.8V 12-bit 230-MS/s pipeline ADC in 0.18μm CMOS technology., , , , and . APCCAS, page 21-24. IEEE, (2008)Current sensing completion detection for subthreshold asynchronous circuits., , and . ECCTD, page 376-379. IEEE, (2007)A 10-hit, 771 nW Time-Mode ADC with a 2-Step TDC for Bio-Signal Acquisition., , and . BioCAS, page 1-6. IEEE, (2021)An Asynchronous Pipelined Time-to-Digital Converter Using Time-Domain Subtraction.. ISCAS, page 1-5. IEEE, (2018)Ultra low energy design exploration of digital decimation filters in 65 nm dual-VT CMOS in the sub-VT domain., , , , and . Microprocess. Microsystems, 37 (4-5): 494-504 (2013)Design exploration of a 65 nm Sub-VT CMOS digital decimation filter chain., , , , and . ISCAS, page 837-840. IEEE, (2011)A current sensing completion detection method for asynchronous pipelines operating in the sub-threshold regime., , and . I. J. Circuit Theory and Applications, 37 (2): 203-220 (2009)