Author of the publication

BDDs vs. Zero-Suppressed BDDs: for CTL Symbolic Model Checking of Petri Nets.

, , , and . FMCAD, volume 1166 of Lecture Notes in Computer Science, page 435-449. Springer, (1996)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Shared Binary Decision Diagram with Attributed Edges for Efficient Boolean function Manipulation., , and . DAC, page 52-57. IEEE Computer Society Press, (1990)Implementation of sequence BDDs in Erlang., , and . Erlang Workshop, page 90-91. ACM, (2011)Second Workshop on Algorithms for Large-Scale Information Processing in Knowledge Discovery (ALSIP)., and . JSAI-isAI Workshops, volume 7258 of Lecture Notes in Computer Science, page 184-185. Springer, (2011)Arithmetic Boolean Expression Manipulator Using BDDs., and . Formal Methods Syst. Des., 10 (2/3): 221-242 (1997)Synthesis of Semi-Classical Quantum Circuits., , and . J. Multiple Valued Log. Soft Comput., 18 (1): 99-114 (2012)Techniques of BDD/ZDD: Brief History and Recent Activity.. IEICE Trans. Inf. Syst., 96-D (7): 1419-1429 (2013)Exact Computation of Strongly Connected Reliability by Binary Decision Diagrams., , and . COCOA, volume 11346 of Lecture Notes in Computer Science, page 281-295. Springer, (2018)Optimizing Network Reliability via Best-First Search over Decision Diagrams., , , , and . INFOCOM, page 1817-1825. IEEE, (2018)Editor's Message to Special Issue of Young Researchers' Papers.. J. Inf. Process., (2021)Enumeration of Associative Magic Squares of Order 7., and . J. Inf. Process., (2020)