Author of the publication

A New Methodology for Power-Aware Transistor Sizing: Free Power Recovery (FPR).

, , , , , , , and . PATMOS, volume 5953 of Lecture Notes in Computer Science, page 307-316. Springer, (2009)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Inherently Lower-Power High-Performance Superscalar Architectures., and . IEEE Trans. Computers, 50 (3): 268-285 (2001)Unified architecture level energy-efficiency metric.. ACM Great Lakes Symposium on VLSI, page 24-29. ACM, (2002)Design methodology for semi custom processor cores., , , , , and . ACM Great Lakes Symposium on VLSI, page 448-452. ACM, (2004)A Proactive Wearout Recovery Approach for Exploiting Microarchitectural Redundancy to Extend Cache SRAM Lifetime., , , and . ISCA, page 353-362. IEEE Computer Society, (2008)Efficient PVT independent abstraction of large IP blocks for hierarchical power analysis., , , , , , , , and . ICCAD, page 458-465. IEEE, (2013)The 12-Core POWER8™ Processor With 7.6 Tb/s IO Bandwidth, Integrated Voltage Regulation, and Resonant Clocking., , , , , , , , , and 20 other author(s). IEEE J. Solid State Circuits, 50 (1): 10-23 (2015)Optimizing pipelines for power and performance., , , , , , and . MICRO, page 333-344. ACM/IEEE Computer Society, (2002)IBM POWER8 circuit design and energy optimization., , , , , , , , , and 13 other author(s). IBM J. Res. Dev., (2015)Optimization of scannable latches for low energy.. IEEE Trans. Very Large Scale Integr. Syst., 11 (5): 778-788 (2003)Unified methodology for resolving power-performance tradeoffs at the microarchitectural and circuit levels., and . ISLPED, page 166-171. ACM, (2002)